高潮久久久久久久久不卡_久久亚洲Av无码精品_亚洲综合久久久久久久久久网_最新69国产成人精品视频免费

歡迎您訪問大連虹冠錦江機(jī)械設(shè)備有限公司官方網(wǎng)站!
熱線電話:13842610026 13795193430

半導(dǎo)體產(chǎn)業(yè)鏈深度研報:設(shè)備及材料

全球設(shè)備市場創(chuàng)新高,受益于制程進(jìn)步、資本開支提升2020年全球半導(dǎo)體設(shè)備市場規(guī)模創(chuàng)700億美元新高,大陸首次占比全球第一。

(報告出品方/作者:國盛證券,鄭震湘、陳永亮)

一、設(shè)備市場:大陸需求快速增長,國產(chǎn)替代提速

1.1、全球設(shè)備市場創(chuàng)新高,受益于制程進(jìn)步、資本開支提升 2020 年全球半導(dǎo)體設(shè)備市場規(guī)模創(chuàng) 700 億美元新高,大陸首次占比全球第一。根據(jù) SEMI,2020 年半導(dǎo)體設(shè)備銷售額 712 億美元,同比增長 19%,全年銷售額創(chuàng)歷史新高。大陸設(shè)備市場在 2013年之前占全球比重為 10%以內(nèi),2014~2017年提升至 10~20%, 2018 年之后保持在 20%以上,份額呈逐年上行趨勢。2020 年,國內(nèi)晶圓廠投建、半導(dǎo) 體行業(yè)加大投入,大陸半導(dǎo)體設(shè)備市場規(guī)模首次在市場全球排首位,達(dá)到 181 億美元, 同比增長 35.1%,占比 26.2%。2021-2022年,存儲需求復(fù)蘇,韓國領(lǐng)跑全球,但大陸 設(shè)備市場規(guī)模仍將保持在約 160 億美元高位。


(報告出品方/作者:國盛證券,鄭震湘、陳永亮)

一、設(shè)備市場:大陸需求快速增長,國產(chǎn)替代提速

1.1、全球設(shè)備市場創(chuàng)新高,受益于制程進(jìn)步、資本開支提升 2020 年全球半導(dǎo)體設(shè)備市場規(guī)模創(chuàng) 700 億美元新高,大陸首次占比全球第一。根據(jù) SEMI,2020 年半導(dǎo)體設(shè)備銷售額 712 億美元,同比增長 19%,全年銷售額創(chuàng)歷史新高。大陸設(shè)備市場在 2013年之前占全球比重為 10%以內(nèi),2014~2017年提升至 10~20%, 2018 年之后保持在 20%以上,份額呈逐年上行趨勢。2020 年,國內(nèi)晶圓廠投建、半導(dǎo) 體行業(yè)加大投入,大陸半導(dǎo)體設(shè)備市場規(guī)模首次在市場全球排首位,達(dá)到 181 億美元, 同比增長 35.1%,占比 26.2%。2021-2022年,存儲需求復(fù)蘇,韓國領(lǐng)跑全球,但大陸 設(shè)備市場規(guī)模仍將保持在約 160 億美元高位。



下游資本開支提升,半導(dǎo)體設(shè)備周期向上。伴隨著下游資本開支提升,設(shè)備廠商營業(yè) 收入增速從 2019Q2 觸底后逐漸回暖。2020Q1 由于疫情沖擊,產(chǎn)品發(fā)貨推遲,導(dǎo)致單 季度收入增速下調(diào)。以 ASML 為例,疫情后營收增速恢復(fù),2021Q1 半導(dǎo)體設(shè)備營收增 速更是達(dá)到 95.1%,ASML 表示下游對于先進(jìn)的光刻設(shè)備需求有增無減。


未來兩年全球晶圓廠設(shè)備開支持續(xù)增長。疫情對全球半導(dǎo)體行業(yè)帶來深遠(yuǎn)影響。需求 端,居家及遠(yuǎn)程辦公帶來筆電等消費電子需求激增,此外全球正步入第四輪硅含量提升 周期,服務(wù)器、汽車、工業(yè)、物聯(lián)網(wǎng)等需求大規(guī)模提升。供給端,全球晶圓廠 2015- 2019 年產(chǎn)能投資(不含存儲)尤其是成熟制程擴(kuò)產(chǎn)不足,疫情短期導(dǎo)致供應(yīng)鏈中斷, 及地緣政治不確定性加劇供需失衡。2020 年開始,全球領(lǐng)先的晶圓廠紛紛加速擴(kuò)產(chǎn)提 升資本開支,預(yù)計未來兩年將進(jìn)行大規(guī)模的半導(dǎo)體設(shè)備投資,2021、2022 年晶圓廠前 道設(shè)備支出將保持 16%、12%的同比增速。

Capex 進(jìn)入上行期,臺積電、中芯國際紛紛增加資本開支。臺積電從 2020 年 170 億美 金增長到 300 億美金(用于 N3/N5/N7 的資本開支占 80%),再到 2021 年 4 月 1 日公 布的未來三年資本開支 1000 億美金;聯(lián)電從 2020 年 10 億美金增長到 23 億美金(用 于的 12 寸晶圓的資本支出占 85%);華虹從 2020 年 11 億美金增長到 2021 年 13.5 億 美金(大部分用于華虹無錫 12 寸);中芯國際 2021 年資本維持高位,達(dá)到 43 億美金 (大部分用于擴(kuò)成熟制程,尤其是 8 寸數(shù)量擴(kuò) 4.5 萬片/月),開啟新一輪資本開支。




“芯拐點”、新制程、新產(chǎn)能推動需求。我們判斷本輪反轉(zhuǎn)首先來自于全球“芯”拐點, 行業(yè)向上;其次,先進(jìn)制程帶來的資本開支越來越重,7nm 投資在 100 億美元,研發(fā) 30 億美元;5~3nm 投資在 200 億美元;7nm 單位面積生產(chǎn)成本跳升,較 14nm 直接翻 倍;并且,大陸晶圓廠投建帶動更多設(shè)備投資需求。


2020 全球半導(dǎo)體資本開支恢復(fù)增長。根據(jù) IC Insights,VLSI,預(yù)計代工 Capex 同比大幅增長 38%。2017 年存儲廠商大幅資本開支導(dǎo)致 2018 年下半年開始產(chǎn)能過剩,存儲 市場走低,目前存儲投資已經(jīng)開始全面恢復(fù),預(yù)計2021年存儲Capex同比增長約5%。

1.2、前道設(shè)備占主要部分,測試需求增速最快

前道設(shè)備占主要部分。設(shè)備投資一般占比 70~80%,當(dāng)制程到 16/14nm 時,設(shè)備投資 占比達(dá) 85%;7nm 及以下占比將更高。按工藝流程分類,典型的產(chǎn)線上前道、封裝、 測試三類設(shè)備分別占 85%、6%、9%。



測試需求增長更快。半導(dǎo)體設(shè)備 2013~2018 年復(fù)合增長率為 15%,前道、封裝、測試分別為 15%、11%、16%。增速最快的子項目分別為刻蝕設(shè)備(CAGR 24%)和存儲 測試設(shè)備(CAGR 27%)。




1.3、全球市場受海外廠商主導(dǎo),前五大廠商市占率較高

全球設(shè)備五強(qiáng)占市場主導(dǎo)角色。全球設(shè)備格局競爭,主要前道工藝(刻蝕、沉積、涂膠、 熱處理、清洗等)整合成三強(qiáng) AMAT、LAM、TEL。另外,光刻機(jī)龍頭 ASML 市占率 80%+;過程控制龍頭 KLA 市占率 50%。根據(jù) VLSI,ASML、AMAT、LAM Research、 TEL、KLA 五大廠商 2020 年半導(dǎo)體設(shè)備收入合計 550 億美元,占全球市場約 71%。

綜合看下來,設(shè)備五強(qiáng)市場在各賽道合計市占率基本在 50%以上。AMSL 優(yōu)勢在光刻 方面遙遙領(lǐng)先;AMAT 優(yōu)勢在產(chǎn)品線廣,沉積(CVD、PVD)市占率高;LAM 優(yōu)勢在刻蝕領(lǐng)域;TEL 優(yōu)勢在小賽道如涂膠、去膠、熱處理;KLA 優(yōu)勢在過程控制。

1.4、國內(nèi)需求爆發(fā),國產(chǎn)替代空間快速打開

國內(nèi)晶圓廠投資金額即將進(jìn)入高峰期。根據(jù)統(tǒng)計,2020~2022 年國內(nèi)晶圓廠總投資金 額約 1500/1400/1200 億元,其中內(nèi)資晶圓廠投資金額約 1000/1200/1100 億元。2020~2022 年國內(nèi)晶圓廠投資額將是歷史上最高的三年,且未來還有新增項目的可能。



設(shè)備國產(chǎn)化率較低,海外龍頭壟斷性較高。我國半導(dǎo)體設(shè)備市場仍非常依賴進(jìn)口,從 市場格局來看,細(xì)分市場均有較高集中度,主要參與廠商一般不超過 5 家,top3份額往 往高于 90%,部分設(shè)備甚至出現(xiàn)一家獨大的情況,目前國內(nèi)廠商目標(biāo)市場主要是國內(nèi) 晶圓廠需求,尤其是內(nèi)資投建的需求。

國內(nèi)國產(chǎn)化逐漸起航,從 0 到 1 的過程基本完成。中微公司介質(zhì)刻蝕機(jī)已經(jīng)打入 5nm 制程。北方華創(chuàng)硅刻蝕進(jìn)入 SMIC 28nm 生產(chǎn)線量產(chǎn)。Mattson(屹唐半導(dǎo)體)在去膠設(shè) 備市占率全球第二。盛美半導(dǎo)體單片清洗機(jī)在海力士、長存、SMIC 等產(chǎn)線量產(chǎn)。沈陽 拓荊 PECVD 打入 SMIC、華力微 28nm 生產(chǎn)線量產(chǎn),2018 年 ALD 通過客戶 14nm 工藝 驗證。精測電子、上海睿勵在測量領(lǐng)域突破國外壟斷。

制程越高,設(shè)備投資額占比越高。設(shè)備投資一般占比 70~80%,當(dāng)制程到 16/14nm 時, 設(shè)備投資占比達(dá) 85%;7nm 及以下占比將更高。光刻、刻蝕、沉積、過程控制、熱處 理等均是重要投資環(huán)節(jié)。



設(shè)備國產(chǎn)化率較低,海外龍頭壟斷性較高。我國半導(dǎo)體設(shè)備市場仍非常依賴進(jìn)口,目 前國內(nèi)廠商目標(biāo)市場主要是國內(nèi)晶圓廠需求,尤其是內(nèi)資投建的需求,潛在收入目標(biāo)空 間較大。

1.5、2021Q1 國產(chǎn)設(shè)備廠商高速增長

2020Q4 及 2021Q1 設(shè)備收入、利潤快速增長,國產(chǎn)替代持續(xù)深化。設(shè)備行業(yè)核心公 司(中微公司、北方華創(chuàng)、至純科技、精測電子、長川科技、晶盛機(jī)電、華峰測控、萬 業(yè)企業(yè))2020Q4 營業(yè)收入 37 億元,同比增長 33%;歸母凈利潤 5.55 億元,同比增長 49%。設(shè)備行業(yè)核心公司 2021Q1 營業(yè)收入 42.05 億元,同比增長 27%;歸母凈利潤 7.6 億元,同比增長 37%、設(shè)備行業(yè)持續(xù)處于高速增長,國產(chǎn)替代空間快速打開,國內(nèi) 核心設(shè)備公司成長可期。



設(shè)備廠商在手訂單充足,合同負(fù)債保持較高增速。2020Q4/2021Q1,設(shè)備板塊主要公 司合同負(fù)債合計分別為 62.0/77.5 億元,同比增長 67%/62%,保持較高增速。其中, 北方華創(chuàng) 2020Q4/2021Q1 合同負(fù)債分別達(dá)到 30.5/44.9 億元,同比增長 107%/70%。

大陸 12 寸晶圓廠建廠潮帶動設(shè)備需求持續(xù)增長。生產(chǎn)效率及降低成本因素推動下,全 球 8 寸擴(kuò)產(chǎn)放緩,12 寸晶圓廠擴(kuò)產(chǎn)如火如荼。2020 年以來,國內(nèi) 12 寸晶圓廠遍地開 花,除中芯國際外,聞泰、格科微、海芯等公司紛紛計劃建設(shè) 12 寸晶圓廠,粵芯半導(dǎo) 體、華虹無錫等 12 英寸生產(chǎn)線陸續(xù)建成投產(chǎn)。根據(jù) SEMI,2019 年至 2024 年,全球至少新增 38 個 12 寸晶圓廠,其中中國臺灣 11 個,中國大陸 8 個,到 2024 年,中國 12 寸晶圓產(chǎn)能將占全球約 20%。大量晶圓廠的擴(kuò)建、投產(chǎn),將帶動對上游半導(dǎo)體設(shè)備的 需求提升,更有望為國產(chǎn)化設(shè)備打開發(fā)展空間。

二、光刻機(jī):半導(dǎo)體制程工藝核心環(huán)節(jié),將掩膜板圖形縮小

光刻是將掩膜板上的圖形曝光至預(yù)涂了光刻膠的晶圓表面上。光刻膠(正膠)受到照 射的部分,將發(fā)生化學(xué)變化,從而易溶于顯影液。

瑞利公式:CD=k1*(λ/NA)。CD 為關(guān)鍵尺寸,為了降低 CD,有三種方式:

(1)降低波 長λ;

(2)提高鏡頭的數(shù)值孔徑 NA;

(3)降低綜合因素 k1。

生產(chǎn)參數(shù):

(1)分辨率:可達(dá)的最小光刻圖形尺寸;

(2)套準(zhǔn)精度:圖形尺寸在亞微 米數(shù)量級上,套刻誤差在特征尺寸 10%;

(3)產(chǎn)率:對給定掩膜板,每小時能曝光的 晶片數(shù)量。

方案升級:接觸式——接近式——步進(jìn)式。

光源升級:1985 年之前,以 g 線(436nm)為主,最小線寬為 1um 以上;1985 年以 后,出現(xiàn)少量i線(365nm)光刻機(jī),最小線寬0.5um;1990年開始出現(xiàn)DUV光刻機(jī), 最小線寬為 0.25um;踏入 21 世紀(jì),193nm 的深紫外線開始使用。

EUV 的采用利好光刻、過程控制(ASML、KLA)。根據(jù) ASML,45K/M 的 logic 產(chǎn)能, 每一層需要一臺EUV;100K/M 的 DRAM 產(chǎn)能,每一層需要 1.5~2 臺 EUV。預(yù)估 TSMC N7 使用 7 層;N5 使用 14 層。ASML 預(yù)估 EUV 層數(shù) 10~20 層,目前工藝總層數(shù)多達(dá) 400~600 層。

光刻機(jī)發(fā)展歷史,兩次技術(shù)分水嶺奠定格局變化。2003~2004 年為第一個分水嶺:ASML 選擇浸潤式,Nikon 選擇 157nm。2010 年為第二個分水嶺:EUV 量產(chǎn),差距拉大。

三、刻蝕設(shè)備:等離子刻蝕復(fù)雜程度高,且步驟逐漸增加

刻蝕是用化學(xué)、物理、化學(xué)物理結(jié)合的方法有選擇的去除(光刻膠)開口下方的材料。 被刻蝕的材料包括硅、介質(zhì)材料、金屬材料、光刻膠??涛g是與光刻相聯(lián)系的圖形化處 理工藝。

濕法刻蝕:用液體化學(xué)劑去除襯底表面的材料。早期普遍使用,在 3um 以后由于線寬 控制、刻蝕方向性的局限,主要用干法刻蝕。目前,濕法刻蝕仍用于特殊材料層的去除 和殘留物的清洗。

干法刻蝕:常用等離子體刻蝕,也稱等離子體刻蝕,即把襯底暴露于氣態(tài)中產(chǎn)生的等 離子,與暴露的表面材料發(fā)生物理反應(yīng)、化學(xué)反應(yīng)。

刻蝕主要參數(shù):刻蝕速率、均勻性、選擇比(對不同材料的刻蝕速率比)、刻蝕坡面 (各向異性、各向同性)

應(yīng)用最廣泛的刻蝕設(shè)備是 ICP 與 CCP,技術(shù)發(fā)展方向是原子層刻蝕(ALE)。

CCP:能量高、精度低,主要用于介質(zhì)材料刻蝕(形成上層線路)——諸如邏輯芯片的 柵側(cè)墻、硬掩膜刻蝕、中段的接觸孔刻蝕、后端的鑲嵌式和鋁墊刻蝕等,以及 3D 閃存 芯片工藝(氮化硅/氧化硅)的深槽、深孔和連線接觸孔的刻蝕等。2015年20億美元, TEL、LAM 合計市占率達(dá) 80%以上。

ICP:能量低、精度高,主要用于硅刻蝕和金屬刻蝕(形成底層器件)——硅淺槽隔離 (STI)、鍺(Ge)、多晶硅柵結(jié)構(gòu)、金屬柵結(jié)構(gòu)、應(yīng)變硅(Strained-Si)、金屬導(dǎo)線、金 屬焊墊(Pad)、鑲嵌式刻蝕金屬硬掩模和多重成像技術(shù)中的多道刻蝕工藝。

ALE:未來技術(shù)發(fā)展方向,能精確刻蝕到原子層(約 0.4nm),具有超高刻蝕選擇率。應(yīng)用廣泛。

光刻技術(shù)中許多先進(jìn)制程涉及多重圖形技術(shù)。即使是 EUV,波長為 13.5nm,要實現(xiàn) 7nm的精度,仍需要依靠多重圖形技術(shù),即多次刻蝕。因此制程升級,精度越高,需要 的刻蝕復(fù)雜度、步驟數(shù)量也在提升。

產(chǎn)業(yè)發(fā)展趨勢:

(1)0.13um 工藝的銅互連技術(shù)出現(xiàn)時(300mm 時代),金屬刻蝕比例 下降,介質(zhì)刻蝕的比例大幅上升。

(2)30nm 之后的,多重圖像技術(shù)、軟刻蝕應(yīng)用的提 升,硅刻蝕(ICP)的占比快速提升。

(3)數(shù)十層的金屬互聯(lián)層(后道工藝,BEOL), 精度一般在 20nm 以上的以 CCP 為主;CMOS 核心器件(前道工藝,F(xiàn)EOL)線寬比較 少,往往使用 20nm 以下的 ICP。

(4)EUV 在 foundry/DRAM 的采用,使得刻蝕步驟減 少;3D Nand 采用,使得刻蝕步驟增多,高深寬比刻蝕需求增多。



刻蝕設(shè)備市場在晶圓設(shè)備的比重不斷提升,2017 年成為占比最高的設(shè)備,重要性不斷 增強(qiáng)。2011 年以來,刻蝕在晶圓設(shè)備的占比從 11%逐漸提升到 20%。刻蝕設(shè)備市場基 本是法刻蝕設(shè)備,其中介質(zhì)刻蝕和硅/金屬刻蝕各占約一半。

四、薄膜設(shè)備:用于沉積物質(zhì),在設(shè)備市場占比較高

薄膜生長:采用物理或化學(xué)方法使物質(zhì)附著于襯底材料表面的過程,常見生長物質(zhì)包 括金屬、氧化物、氮化物等不同薄膜。根據(jù)工作原理不同,薄膜沉積生長設(shè)備可分為:物理氣相沉積(PVD)、化學(xué)氣相沉積(CVD)和外延三大類。

在半導(dǎo)體領(lǐng)域,薄膜主要分給絕緣薄膜、金屬薄膜。大部分絕緣薄膜使用 CVD,金屬薄 膜常用 PVD(主要是濺射)。

薄膜設(shè)備中,CVD 使用越來越廣泛。2018 年晶圓設(shè)備市場,沉積設(shè)備占比為 22%, CVD 占 15%,PVD 占 4%,其他還有 ECD、MOCVD、SOD、外延等。



CVD:用于沉積介質(zhì)絕緣層、半導(dǎo)體材料、金屬薄膜。

(1)微米時代,化學(xué)氣相沉積 多采用常壓化學(xué)氣相沉積(APCVD)設(shè)備,結(jié)構(gòu)簡單。

(2)亞微米時代,低壓化學(xué)氣 相沉積(LPCVD)成為主流,提升薄膜均勻性、溝槽覆蓋填充能力。

(3)90nm 以后, 等離子增強(qiáng)化學(xué)氣相沉積(PECVD)扮演重要角色,等離子體作用下,降低反應(yīng)溫度, 提升薄膜純度,加強(qiáng)薄膜密度。

(4)45nm 以后,高介電材料(High k)和金屬柵 (Metal Gate),引入原子層沉積(ALD)設(shè)備,膜層達(dá)到納米級別?!?)高介電 材料(High k)替代 SiO2,用于制備 MOS 器件的柵介質(zhì)層,需要引入 ALD。(2)多晶 硅同步地被替代為金屬柵(Matal Gate)電極,也用 ALD 設(shè)備制備。

2018 年薄膜沉積設(shè)備達(dá)到 132 億美元,占晶圓設(shè)備約 22%。薄膜沉積中 84%是 CVD;CVD 中 82%是非管式 CVD;Nontube CVD 中最主流的設(shè)備是等離子體 CVD、LPCVD、 ALD 等。

Non-Tube 市場前五強(qiáng) AMAT(28%)、Lam(275)、TEL(18%)、Hitachi(11%)、 ASM(6%),都是半導(dǎo)體設(shè)備領(lǐng)域較強(qiáng)的綜合廠商。高端領(lǐng)域 ALD 受壟斷由 ASM (29%)、TEL(27%)、Lam(20%)主導(dǎo)。

五、清洗設(shè)備:去除晶圓片表面雜質(zhì),各制程前后均需使用

清洗機(jī)是將晶圓表面上產(chǎn)生的顆粒、有機(jī)物、自然氧化層、金屬雜質(zhì)等污染物去除, 以獲得所需潔凈表面的工藝設(shè)備。從工藝應(yīng)用上來說,清洗機(jī)目前已廣泛應(yīng)用于集成電 路制造工藝中的成膜前/成膜后清洗、等離子刻蝕后清洗、離子注入后清洗、化學(xué)機(jī)械 拋光后的清洗和金屬沉積后清洗等各個環(huán)節(jié)。

升級方向:高效且無損。在過去的 25 年中,隨著制程升級,晶圓濕法清洗變得越來越 復(fù)雜和高效。清洗需要強(qiáng)力有效,還要減少對晶圓表面的損傷。

清潔步驟占半導(dǎo)體工藝所有處理步驟 1/3,最多已經(jīng)達(dá)到 200 次。幾乎所有制程的前 后都需要清洗環(huán)節(jié)。

六、過程控制:制造過程的準(zhǔn)確性檢測

過程控制:每道制程工藝后,都必須進(jìn)行尺寸測量、缺陷檢測等,用于工藝控制、良率 管理,要求快速、準(zhǔn)確。

尺寸測量:測量關(guān)鍵尺寸(CD critical dimension)、膜厚度(thickness)、應(yīng)力 (stress)、折射率(refractive index )、階梯覆蓋(step coverage)、接觸角度 (contact-angle)… 無圖形缺陷檢測:顆粒(particle)、殘留物(residue)、刮傷(scratch)、警惕原生凹坑 (COP)等等。

有圖形缺陷檢測:短線(break)、線邊缺陷(bite)、橋接(bridge)、線形變化(Deformation)等等。

2019 年全球檢測、量測設(shè)備市場約 60 億美元,其中中國大陸市場 13 億美元。根據(jù) TSMC 測算,隨著制程微縮、3D 堆疊,測量、檢測設(shè)備未來有希望翻倍到 120億美元。KLA 在大多細(xì)分領(lǐng)域具有明顯優(yōu)勢,此外 AMAT、Nano、ASML、Nova、Hitachi 也有所 布局。



七、測試設(shè)備:用于測試晶圓片及成品

半導(dǎo)體測試包括晶圓允收測試(WAT)、晶圓檢測(CP)、成品測試(FT) 。WAT 環(huán) 節(jié)涉及測試機(jī)、分選機(jī)、探針臺;CP 由測試機(jī)、探針臺搭配完成;FT 涉及測試機(jī)、分 選機(jī)搭配完成。

晶圓檢測(CP)是指在晶圓完成后進(jìn)行封裝前,通過探針臺和測試機(jī)的配合使用,對 晶圓上的裸芯片進(jìn)行功能和電參數(shù)測試。

成品測試(FT)是指芯片完成封裝后,通過分選機(jī)和測試機(jī)的配合使用,對封裝完成 后的芯片進(jìn)行功能和電參數(shù)測試。

測試機(jī)行業(yè)面臨的測試任務(wù)日益復(fù)雜,測試機(jī)的測試能力和配置需求都在提高。隨著 集成電路管腳數(shù)增多、測試時間增長,包括華峰測控在內(nèi)的測試機(jī)企業(yè)越來越多地采用 多工位并測的方案來降低測試時間,推出測試覆蓋面更廣、資源更多的測試設(shè)備,不斷 提高測試系統(tǒng)的可靠性和穩(wěn)定性,以降低客戶平均到每顆器件的測試成本。

測試技術(shù)要求不斷提高。

測試產(chǎn)品技術(shù)發(fā)展趨勢主要包括:(1)并行測試數(shù)量和測試 速度的要求不斷提升;(2)功能模塊需求增加;(3)對測試精度的要求提升;(4)要 求使用通用化軟件開發(fā)平臺;(5)對數(shù)據(jù)分析能力提升。

半導(dǎo)體測試設(shè)備市場呈現(xiàn)寡頭壟斷格局。集成電路檢測在測試精度、速度、效率和可 靠性等方面要求高。全球先進(jìn)測試設(shè)備制造技術(shù)基本掌握在美國、日本等集成電路產(chǎn)業(yè) 發(fā)達(dá)國家廠商手中,市場格局呈現(xiàn)泰瑞達(dá)、愛德萬、科休、科利登等四家廠商寡頭壟斷。各家廠商在檢測設(shè)備側(cè)重點也有所區(qū)別,如泰瑞達(dá)(Teradyne)主要產(chǎn)品為測試機(jī),愛 德萬(Advantest)主要產(chǎn)品為測試機(jī)和分選機(jī),科利登(Xcerra)主要產(chǎn)品為測試機(jī), 東京電子(Tokyo Electron)主要產(chǎn)品為探針臺,北京華峰主要產(chǎn)品為測試機(jī),上海中 藝主要產(chǎn)品為分選機(jī)。愛德萬和泰瑞達(dá)在全球測試設(shè)備合計市場份額達(dá)到 70%以上。

全球半導(dǎo)體測試設(shè)備市場保持穩(wěn)步增長,其中測試機(jī)占比最高。根據(jù) VLSI,全球半導(dǎo) 體后道測試設(shè)備市場(含測試機(jī)、分選機(jī)、探針臺)規(guī)模約 50 億美元。檢測設(shè)備市場 空間大,包括 CP 測試和 FT 測試在內(nèi)的半導(dǎo)體測試設(shè)備占半導(dǎo)體設(shè)備市場空間 15%~20%。整個測試設(shè)備市場中,測試機(jī)比重最高,分選機(jī)與探針臺相對較少。測試 機(jī)按測試對象包括模擬、混合、數(shù)字、SOC、存儲器測試機(jī)等市場。


隨著國內(nèi)封測廠陸續(xù)投入新產(chǎn)線,產(chǎn)能實現(xiàn)擴(kuò)張,將持續(xù)帶動國內(nèi)半導(dǎo)體測試設(shè)備市場 高速增長。根據(jù) SEMI,2018 年國內(nèi)集成電路測試設(shè)備市場規(guī)模約 57.0 億元,集成電路 測試機(jī)、分選機(jī)和探針臺分別占比 63.1%、17.4%和 15.2%,其它設(shè)備占 4.3%。


國內(nèi)半導(dǎo)體測試設(shè)備市場也由海外大廠主導(dǎo)。在測試設(shè)備細(xì)分領(lǐng)域,目前國內(nèi)市場仍 主要由美國泰瑞達(dá)(Teradyne)、日本愛德萬(Advantest)、美國安捷倫(Agilent)、美 國科利登(Xcerra)和美國科休(Cohu)等國際知名企業(yè)所占據(jù)。這些廠商也會通過設(shè) 立全資或合資子公司,推進(jìn)大陸半導(dǎo)體測試市場的業(yè)務(wù)。

八、半導(dǎo)體材料:晶圓廠持續(xù)擴(kuò)產(chǎn),材料拐點已至

8.1、晶圓代工擴(kuò)產(chǎn)拉動材料需求持續(xù)增長

中游代工擴(kuò)產(chǎn)疊加下游需求激增推動半導(dǎo)體材料市場持續(xù)增長。從半導(dǎo)體材料來看, 至 2020 年全球市場規(guī)模在 539.0 億美元,較 2019 年同比增長 2.2%。從長期維度來看 半導(dǎo)體材料的市場一直隨著全球半導(dǎo)體產(chǎn)業(yè)銷售而同步波動。而由于半導(dǎo)體芯片存在較 大的價格波動,但是作為上游原材料的價格相對較為穩(wěn)定,因此半導(dǎo)體材料可以被譽(yù)為 半導(dǎo)體行業(yè)中的剔除價格方面最好的參考指標(biāo)之一。

此外看到當(dāng)前半導(dǎo)體市場由于 5G 時代到來,進(jìn)而推動下游電子設(shè)備硅含量的大增,帶 來的半導(dǎo)體需求的快速增長,直接推動了各個晶圓廠商的擴(kuò)產(chǎn)規(guī)劃(臺積電、聯(lián)電、華 虹、華潤微等)。而芯片的制造更是離不開最上游的材料環(huán)節(jié),因此我們有望看到全球 以及中國半導(dǎo)體市場規(guī)模的飛速增長。



在 2019 年期間,整個半導(dǎo)體材料 521 億美元的市場規(guī)模之中,半導(dǎo)體晶圓制造材料占 據(jù)了約 63%,達(dá)到了 328 億元。晶圓制造材料的持續(xù)增長也是源自于當(dāng)前制造工藝不 斷升級帶來的對于材料的更大的消耗所致。


在半導(dǎo)體原材料領(lǐng)域,集成電路技術(shù)發(fā)展到微納電子制造的物理極限,單獨依靠特征尺 寸縮小已不足以實現(xiàn)技術(shù)發(fā)展目標(biāo)。新材料的引入以及相應(yīng)的新材料技術(shù)與微納制造技 術(shù)相結(jié)合共同推動著集成電路不斷發(fā)展。集成電路制造工藝用到元素已經(jīng)從 12 種增加 到 61 種。伴隨微納制造工藝不斷發(fā)展,對材料的純度,納米精度尺寸控制、材料的功 能性等都提出了嚴(yán)苛的需求。

簡單來看,半導(dǎo)體制造所需要的材料主要分布在一下四步之中:

1. 摻雜/熱處理:濺射靶材,濕法化學(xué)品、化學(xué)氣體,CMP 拋光墊和拋光液;

2. 蝕刻/清潔:掩模/光罩,濺射靶材,CMP 拋光墊和拋光液;

3. 沉積:化學(xué)氣體,CMP 拋光墊和拋光液;

4. 光刻:掩模/光罩、光刻膠、光刻膠顯影液、熔劑、剝離劑。

半導(dǎo)體制造過程繁瑣且復(fù)雜,對于的材料大類的設(shè)計也超過了 9 種。其中硅片的占比最 大,達(dá)到了122億美元,37.3%;其次為電子特氣,市場規(guī)模約為43億美元,13.2%;光掩模,光刻膠及其輔助材料分別為 41億美元和 40億美元,占比達(dá)到 12.5%和 12.2%。

在全球半導(dǎo)體材料的需求格局之中,中國大陸從 2011 年的 10%的需求占比,至 2019 年已經(jīng)達(dá)到占據(jù)全球需求總量的 16.7%,僅次于中國臺灣(21.7%)及韓國(16.9%), 位列全球第二。隨著整個半導(dǎo)體產(chǎn)業(yè)的持續(xù)增長,以及中國大陸不斷新建的代工產(chǎn)能, 我們有望看到中國大陸半導(dǎo)體市場規(guī)模增速將會持續(xù)超越全球增速的同時,攀登至全球 需求第一的寶座。

我們選取代表性公司鼎龍股份、雅克科技、金宏氣體、滬硅產(chǎn)業(yè)、晶瑞股份、立昂微、 興森科技、安集科技和彤程新材,2020 年電子材料營收綜合約為 62 億元人民幣,考慮 到其他未收錄的非上市公司及上市公司,我們展開樂觀假設(shè):中國有著電子半導(dǎo)體材 料營收規(guī)模 100 億人民幣(更多的為中低端產(chǎn)品,高端產(chǎn)品仍然在持續(xù)突破及替代), 在當(dāng)前 539 億美元的全球市場之中也僅僅 3%不到的替代率;在中國所需的產(chǎn)值約 91.73 億美元(對應(yīng) 17%的全球需求)的市場需求中,也僅占了 16%,因此可以看 到中國無論是在中國市場或者全球市場之中,均有著巨大的國產(chǎn)化空間。


半導(dǎo)體材料國產(chǎn)化率仍待轉(zhuǎn)化。根據(jù)集成電路材料和零部件產(chǎn)業(yè)技術(shù)創(chuàng)新戰(zhàn)略聯(lián)盟的調(diào) 研數(shù)據(jù),2016 年國內(nèi)晶圓制造材料企業(yè)用于半導(dǎo)體制造的產(chǎn)品銷售收入僅 69.5 億元, 相對于國內(nèi)晶圓制造材料市場需求的比例約 20%,國產(chǎn)化比例較低。

在國家產(chǎn)業(yè)政策大力扶持和國內(nèi)半導(dǎo)體市場穩(wěn)定增長等利好條件下,特別是國家“02 專項”等專業(yè)化科研項目的培育下,國內(nèi)半導(dǎo)體材料領(lǐng)域?qū)⒂楷F(xiàn)更多具有國際競爭力的 公司和產(chǎn)品,在更多關(guān)鍵半導(dǎo)體材料領(lǐng)域?qū)崿F(xiàn)進(jìn)口替代,打破國外廠商的壟斷。

半導(dǎo)體芯片制造工藝半導(dǎo)體將原始半導(dǎo)體材料轉(zhuǎn)變成半導(dǎo)體芯片,每個工藝制程都需要 電子化學(xué)品,半導(dǎo)體芯片造過就是物理和化學(xué)的反應(yīng)過程,半導(dǎo)體材料的應(yīng)用決定了摩 爾定律的持續(xù)推進(jìn),決定芯片是否將持續(xù)縮小線寬。目前我國不同半導(dǎo)體制造材料的技 術(shù)水平不等,但整體與國外差距較大,存在巨大的國產(chǎn)替代空間。

8.2、各類材料持續(xù)持續(xù)突破,業(yè)績佐證國產(chǎn)替代正式開幕

隨著半導(dǎo)體市場晶圓代工的持續(xù)擴(kuò)產(chǎn),對于晶圓制造中不可缺失的基礎(chǔ)材料將會有著非 常大的需求拉動,而在此階段我們可以看到隨著技術(shù)及工藝的推進(jìn)以及中國電子產(chǎn)業(yè)鏈 逐步的完善,在材料領(lǐng)域已經(jīng)開始涌現(xiàn)出各類已經(jīng)進(jìn)入批量生產(chǎn)及供應(yīng)的廠商。

除了以上我們節(jié)選的部分半導(dǎo)體及電子材料廠商對于中國卡脖子關(guān)鍵材料的替代以外, 還有眾多 A 股上市公司在努力的投入研發(fā)力量致力于更多材料的國產(chǎn)化。無論是成本占 比最大的半導(dǎo)體硅片,再到被美國高度壟斷的CMP(拋光液及拋光墊)材料,均都實現(xiàn) 了一定的技術(shù)突破,在不同的實現(xiàn)果實的收獲。

九、光刻膠:產(chǎn)品逐步突破,國產(chǎn)替代已開啟

光刻膠,目前做為半導(dǎo)體生產(chǎn)中光刻工藝的核心材料,其主要工作原理是:光刻工藝?yán)?用光刻膠對于各種特殊射線及輻射的反應(yīng)原理,將事先制備在掩模上的圖形轉(zhuǎn)印到晶圓, 建立圖形的工藝,使硅片表面曝光完成設(shè)計路的電路圖,做到分辨率清晰和定位無偏差 電路,就如同建筑物一樓的磚塊砌起來和二樓的磚塊要對準(zhǔn),疊加的層數(shù)越高,技術(shù)難 度大。

從光刻膠的發(fā)展歷程看,從 20 世紀(jì) 50 年代至今,光刻技術(shù)經(jīng)歷了紫外全譜(300- 340nm),G線(436nm),I線(365nm),深紫外(Deep Ultraviolet,DUV,248nm和 193nm),以及目前最引人注目的極紫外(EUV,13.5nm)光刻,電子束光刻等六個階 段,隨著光刻技術(shù)發(fā)展,各曝光波長的光刻膠組分(成膜樹脂、感光劑和添加劑等)也 隨之變化。

光刻膠從功能上又可分為正性及負(fù)性光刻膠:正性光刻膠之曝光部分發(fā)生光化學(xué)反應(yīng)會 溶于顯影液,而未曝光部分不溶于顯影液,仍然保留在襯底上,將與掩膜上相同的圖形 復(fù)制到襯底上;而負(fù)性光刻膠之曝光部分因交聯(lián)固化而不溶于顯影液,而未曝光部分溶 于顯影液,將與掩膜上相反的圖形復(fù)制到襯底上。

根據(jù)反應(yīng)機(jī)理和顯影原理,可以將光刻膠分為正性光刻膠和負(fù)性光刻膠。正性光刻膠形 成的圖形與掩膜版(光罩)相同,負(fù)性光刻膠顯影時形成的圖形與掩膜版相反。根據(jù)感 光樹脂的化學(xué)結(jié)構(gòu),光刻膠可分為光聚合型,光分解型和光交聯(lián)型。根據(jù)應(yīng)用領(lǐng)域,光 刻膠可以分為 PCB 光刻膠、面板光刻膠和半導(dǎo)體光刻膠。

行業(yè)壁壘高聳,研發(fā)能力要求極高,資金需求巨大。在上述我們也對眾多光刻膠進(jìn)行 了簡單的分類,但實際操作中由于各個客戶的產(chǎn)品的要求不同,對應(yīng)的光刻膠的具體要 求將更會是千奇百怪。這一點將會直接導(dǎo)致光刻膠企業(yè)在生產(chǎn)制作光刻膠的時候需要具 備足夠的配方研發(fā)能力,對眾多國內(nèi)仍在起步的廠商無疑是個巨大的挑戰(zhàn)。另一方面由 于光刻膠最終需要應(yīng)用在光刻機(jī)上,以 ASML 為例,EUV 光刻機(jī)常年保持在 1 億歐元左右,248nm 的 KrF 光刻機(jī)也基本維持在一千萬歐元以上。

從光刻膠全球市場來看,根據(jù) Cision 的統(tǒng)計,2019 年約有 91 億美元的市場規(guī)模,且至 2022 年預(yù)計將達(dá)到 105 億美元,實現(xiàn)復(fù)合增長 5%。而其中半導(dǎo)體、LCD、PCB 這三類 主要的應(yīng)用場景分別占據(jù)了市場空間的24.10%、26.6%、及24.5%,分別對應(yīng)2019年 的市場規(guī)模 22 億美元、24 億美元、及 22 億美元。




Cision 同時也統(tǒng)計了中國光刻膠市場的規(guī)模,在 2019 年約為 88 億元人民幣,至 2022 年預(yù)計將達(dá)到 117 億元人民幣,實現(xiàn)復(fù)合增長 15%。如若我們根據(jù)全球光刻膠的應(yīng)用 場景分布來看,在中國大陸所需要的半導(dǎo)體、LCD、及PCB的市場需求分別將達(dá)到21、 23、22 億元人民幣。

248nm及以上高端光刻膠為全球市場的主流。中國產(chǎn)業(yè)信息網(wǎng)的數(shù)據(jù)顯示,2019年中 國光刻膠市場規(guī)模在 176 億人民幣,而其中半導(dǎo)體用光刻膠市場達(dá)到 20.7 億人民幣;至 2020 年的預(yù)期,國內(nèi)光刻膠市場有望達(dá)到 176 億人民幣,而半導(dǎo)體用光刻膠則將達(dá) 到 25 億人民幣,均將實現(xiàn)超過 10%的行業(yè)規(guī)模增長。而隨著國內(nèi)晶圓廠不斷擴(kuò)產(chǎn),以 及制程和工藝的提高,有望在后續(xù)給光刻膠行業(yè)帶來更大的增量空間。

然而我們復(fù)盤過往中國半導(dǎo)體光刻膠市場規(guī)模來看,通過智研產(chǎn)業(yè)研究院的統(tǒng)計,在 2015 年中國半導(dǎo)體光刻膠市場規(guī)模僅為 10 億元左右,至 2020 年已經(jīng)成功提高至約 25 億人民幣的市場規(guī)模。而其中的核心原因我們認(rèn)為是中國半導(dǎo)體晶圓代工產(chǎn)業(yè)逐步完善, 晶圓廠產(chǎn)能持續(xù)增長帶來的市場增長。而隨著未來中國內(nèi)地將要興建更多的產(chǎn)能之時, 我們有望看到中國半導(dǎo)體光刻膠需求的持續(xù)高增長。



雖然中國市場增速巨大,但是從產(chǎn)業(yè)端來看,全球共有 5 家主要的光刻膠生產(chǎn)企業(yè)。其 中,日本技術(shù)和生產(chǎn)規(guī)模占絕對優(yōu)勢。而其中在半導(dǎo)體光刻膠中,占據(jù)主導(dǎo)位置的還是 以日美兩國為主。

國產(chǎn)光刻膠份額:受益于半導(dǎo)體、顯示面板、PCB 產(chǎn)業(yè)東移的趨勢,根據(jù)雅克科技,自 2011 年至今,光刻膠中國本土供應(yīng)規(guī)模年華增長率達(dá)到 11%,高于全球平均 5%的增 速。根據(jù)智研咨詢,2019 年中國光刻膠市場本土企業(yè)銷售規(guī)模約 70 億元,全球占比約 10%,發(fā)展空間巨大。目前,中國本土光刻膠以 PCB 用光刻膠為主,平板顯示、半導(dǎo) 體用光刻膠供應(yīng)量占比極低。中國半導(dǎo)體光刻膠的占比僅有 2%,LCD 僅為 3%,而最 為簡單 PCB 光刻膠占比高達(dá) 94%。


整體來看,全球光刻膠行業(yè)主要被 JSR、東京應(yīng)化、羅門哈斯、信越化學(xué)、及富士合理 占據(jù),前五大家占據(jù)了全球光刻膠領(lǐng)域的 86%;如若聚焦到全球半導(dǎo)體用光刻膠領(lǐng)域, 前六大家(主要以日本為主)實現(xiàn)了對于市場的 87%的占據(jù)。

對于光刻膠中的 KrF、ArF、i 線、g 線,其市占率情況如下,仍然是全球幾大龍頭形成 了寡頭壟斷之勢,而中國供應(yīng)商尚未登榜。

而半導(dǎo)體國產(chǎn)光刻膠的發(fā)展速度遠(yuǎn)遠(yuǎn)慢于其他產(chǎn)業(yè),原因在于:

1、 光刻膠的驗證周期長。光刻膠批量測試的 過程需要占用晶圓廠機(jī)臺的產(chǎn)線時間, 在產(chǎn)能緊張的時期測試時間將會被延長。測試的過程需要與光刻機(jī)、掩膜版及 半導(dǎo)體制程中的許多工藝步驟配合,需要付出的成本極高。通常面板光刻膠驗 證周期為 1-2 年,半導(dǎo)體光刻膠驗證周期為 2-3 年。但是驗證通過之后便會形成 長期供應(yīng)關(guān)系,甚至在未來會推動企業(yè)之間的聯(lián)合研發(fā)。

2、 原材料成膜樹脂具有專利壁壘。樹脂的合成難度高,通常光刻膠廠商在合成一 種樹脂后會申請相應(yīng)的專利,目前樹脂結(jié)構(gòu)上的專利主要被日本公司占據(jù)。

3、 光刻膠產(chǎn)品品類多,配方需要滿足差異化需求。根據(jù)產(chǎn)品需求來調(diào)配適合的樹 脂來滿足差異化需求對于光刻膠企業(yè)是一大難點,也是光刻膠制造商最核心的 技術(shù)。

十、CMP:突破重圍,國產(chǎn)化啟動

CMP 化學(xué)機(jī)械拋光(
ChemicalMechanicalPolishing)工藝是半導(dǎo)體制造過程中的關(guān)鍵流 程之一,利用了磨損中的“軟磨硬”原理,即用較軟的材料來進(jìn)行拋光以實現(xiàn)高質(zhì)量的 表面拋光。通過化學(xué)的和機(jī)械的綜合作用,從而避免了由單純機(jī)械拋光造成的表面損傷 和由單純化學(xué)拋光易造成的拋光速度慢、表面平整度和拋光一致性差等缺點。


至 2018 年市場拋光液和拋光墊市場分別達(dá)到了 12.7 和 7.4 億美元,其中中國市場的需 求量大約為全球市場容量的 16.7%,即對應(yīng)市場規(guī)模為:拋光液+拋光液=23 億人民幣。


而隨各類芯片的技術(shù)的進(jìn)步,拋光步驟也隨之增長,從而實現(xiàn)了拋光墊及拋光液用量市 場的持續(xù)增長。同時隨著芯片制程的提高帶動的拋光材質(zhì)技術(shù)要求的提升,以及整體半 導(dǎo)體芯片市場的復(fù)蘇,我們可以預(yù)期到未來 CMP 市場的量*量*價的多重提高。

目前市場上拋光墊目前主要被陶氏化學(xué)公司所壟斷,市場份額達(dá)到 90%左右,其他供 應(yīng)商還包括日本東麗、3M、中國臺灣三方化學(xué)、卡博特等公司,合計份額在 10%左右。拋 光液方面,目前主要的供應(yīng)商包括日本 Fujimi、日本 HinomotoKenmazai,美國卡博特、 杜邦、Rodel、Eka、韓國 ACE 等公司,占據(jù)全球 90%以上的市場份額,國內(nèi)這一市場 主要依賴進(jìn)口,國內(nèi)僅有部分企業(yè)可以生產(chǎn),但也體現(xiàn)了國內(nèi)逐步的技術(shù)突破,以及進(jìn) 口替代市場的巨大。

十一、電子特氣:需求空間大,拉開進(jìn)口替代序幕

電子特種氣體是集成電路、顯示面板、光伏能源、光纖光纜等電子產(chǎn)業(yè)加工制造過程中 不可或缺的關(guān)鍵材料,其市場規(guī)模保持高速發(fā)展。2010-2018 年,我國電子特氣市場規(guī) 模復(fù)合增速達(dá) 15.3%,2018 年我國電子特氣市場規(guī)模達(dá) 121.56 億元。其中,半導(dǎo)體制 造用電子特氣市場規(guī)模約 45 億元。根據(jù)前瞻產(chǎn)業(yè)研究院預(yù)測,2024 年我國電子特種氣 體市場規(guī)模將達(dá)到 230 億元,2018-2024 年復(fù)合增速將達(dá) 11.2%。電子特氣將為中國新 興產(chǎn)業(yè)的發(fā)展注入新動力。


電子特氣按照用途可分為蝕刻及清洗氣體、成膜氣體、摻雜氣體三大類。在半導(dǎo)體集成 電路中,電子氣體主要應(yīng)用于蝕刻、摻雜、CVD、清洗等。在晶圓制程中部分工藝涉及 氣體刻蝕工藝的應(yīng)用,主要涉及 CF4、NF3、HBr等;摻雜工藝即將雜質(zhì)摻入特定的半導(dǎo) 體區(qū)域中以改變半導(dǎo)體的電學(xué)性質(zhì),需要用到三階氣體 B2H6、BF3以及五階氣體 PH3 、 AsH3 等;在硅片表面通過化學(xué)氣相沉積成膜(CVD)工藝中,主要涉及 SiH4、SiCl4、 WF6等。

在顯示面板產(chǎn)業(yè)中,在薄膜工序中需要通過化學(xué)氣相沉積在玻璃基板上沉積薄膜,需要 使用 SiH4、PH3、NF3 、NH3 等。在干法蝕刻工藝中,需要在等離子氣態(tài)氛圍中選擇性 腐蝕基材,需要用到 SF6、HCl、Cl2 等;在 LED 產(chǎn)業(yè)中,外延技術(shù)需要高純電子特氣包 括高純砷烷、高純磷烷、高純氨氣,HCl和Cl2常常用做蝕刻氣;在太陽能光伏產(chǎn)業(yè)中, 晶體硅電池片生產(chǎn)中的擴(kuò)散工藝需要用到 POCl3,減反射層等 PECVD 工藝需要用到 SiH4、 NH3,蝕刻需要用到 CF4。薄膜太陽能電池在沉積透明導(dǎo)電膜工序中需要用到 B2H6等。

三氟化氮(NF3)是目前應(yīng)用最廣的電子特氣,占全球電子氣體產(chǎn)量約 50%。NF3 在鹵 化氮中最穩(wěn)定,是一種強(qiáng)氧化劑。在離子蝕刻時裂解為活性氟離子,氟離子對硅化合物、 鎢化合物有優(yōu)異的蝕刻速率和選擇性。并且,三氟化氮在蝕刻時,蝕刻物表面不留任何 殘留物,是良好的蝕刻、清洗劑。大量應(yīng)用于半導(dǎo)體、液晶和薄膜太陽能電池生產(chǎn)工藝 中。



兩個主要因素推進(jìn)了我國電子特氣的需求高速增長。首先,近年來電子氣體下游產(chǎn)業(yè)技 術(shù)快速更迭。例如,集成電路領(lǐng)域晶圓尺寸從 6 寸、8 寸發(fā)展到 12 寸甚至 18 寸,制程 技術(shù)從 28nm 到 7nm;顯示面板從 LCD 到剛性 OLED 再到柔性、可折疊 OLED 迭代;光 伏能源從晶體硅電池片向薄膜電池片發(fā)展等。下游產(chǎn)業(yè)的快速迭代讓這些產(chǎn)業(yè)的關(guān)鍵性 材料電子特氣的精細(xì)化程度持續(xù)提升。并且,由于全球半導(dǎo)體、顯示面板等電子產(chǎn)業(yè)鏈 不斷向亞洲、中國大陸地區(qū)轉(zhuǎn)移,近年來以集成電路、顯示面板為主的電子特氣需求快 速增長。我國集成電路 2010-2018 年銷售額復(fù)合增速達(dá) 20.8%,對電子特氣的需求帶 來了持續(xù)、強(qiáng)勁的拉動。


然而,目前我國電子特氣進(jìn)口依賴度高,進(jìn)口替代潛力較大。隨著我國半導(dǎo)體、顯示面 板市場的快速擴(kuò)張,包括電子特氣在內(nèi)的上游原材料實現(xiàn)進(jìn)口替代意義重大。目前我國 電子特種氣體市場呈寡頭壟斷格局,2018 年外企占我國電子氣體市場 88%份額。我國 電子氣體領(lǐng)域目前的主要的外企包括美國空氣化工集團(tuán)、法國液化空氣集團(tuán)、日本太陽 日酸株式會社、美國普萊克斯、德國林德集團(tuán)。國內(nèi)主要企業(yè)包括中船 718 所、昊華黎 明院等。目前我國電子特氣企業(yè)產(chǎn)品供應(yīng)仍較為單一,但在政策扶持及下游需求的拉動 下,我國電子特氣企業(yè)體量、產(chǎn)品品種迅速發(fā)展,該領(lǐng)域進(jìn)口替代已拉開序幕。

根據(jù) SEMI 預(yù)計,至 2020 年電子特氣的市場規(guī)模將達(dá)到 43.7 億美元。2010-2018 年, 我國電子特氣市場規(guī)模復(fù)合增速達(dá) 15.3%,2018 年我國電子特氣市場規(guī)模達(dá) 121.56 億 元。其中,半導(dǎo)體制造用電子特氣市場規(guī)模約 45 億元。根據(jù)前瞻產(chǎn)業(yè)研究院預(yù)測, 2024 年我國電子特種氣體市場規(guī)模將達(dá)到 230 億元。



根據(jù) SEMI 的數(shù)據(jù)統(tǒng)計,2019 年全球晶圓制造用電子氣體的市場規(guī)模在 42 億美元,而 全球晶圓出貨量面積在 2019 年為 11,810 百萬平方英尺。我們對其進(jìn)行簡單的匯率換算 (1 美元約等于 7人民幣),以及面積的換算之上,可得出每一片 8 英寸晶圓,所需電子 特氣的價值量約為 125 元人民幣。

制程升級提升氣體用量,中國大陸經(jīng)原產(chǎn)擴(kuò)產(chǎn)帶來更大的氣體需求。無論是邏輯電路 還是存儲電路,更先進(jìn)的工藝都需要在晶圓制造過程中消耗更大量氣體。同時中國內(nèi)資 晶圓廠,例如長江存儲、合肥長鑫等均在擴(kuò)產(chǎn),產(chǎn)能的擴(kuò)張將會帶來更大的材料需求。

十二、硅片:半導(dǎo)體制造重中之重

縱觀半導(dǎo)體硅片的技術(shù)演變歷程,可以看到從早在 20 世紀(jì) 70 年代,硅片的尺寸就逐步 的向著更大尺寸發(fā)展。截止至目前全球硅片市場最大的量產(chǎn)型硅片尺寸為 300mm,也 即是所謂的“12 英寸硅片”。

根據(jù)目前 SEMI 對于全球各類半導(dǎo)體硅片的出貨量統(tǒng)計,我們也看到半導(dǎo)體市場對于 12 英寸硅片的需求及使用也是逐步增加。2011 年,200mm 半導(dǎo)體硅片市場占有率穩(wěn) 定在 25-27%之間;2016 年至 2017 年,由于汽車電子、智能手機(jī)用指紋芯片、液晶顯 示器市場需求快速增長,200mm 硅片出貨面積同比增長 14.68%;2018 年,200mm 硅 片出貨面積達(dá)到 3278.00 百萬平方英寸,同比增長 6.25%。2018 年,300mm 硅片和 200mm 硅片馳航份額分別為 63.31%和 26.34%,兩種尺寸硅片合計占比接近 90.00%。



而硅片之所以趨向于大尺寸,其主要原因是因為單位晶圓生產(chǎn)效率的提高。雖然生產(chǎn) 大尺寸硅片所需要的設(shè)備、材料成本等均有所提高,但是考慮到自動化帶來的人工費用 的減少以及單片硅片的面積之大,以 200mm(9 寸)和 300mm(12 寸)硅片進(jìn)行比 較,12 英寸硅片的單位成本僅為 9 英寸硅片的 70%~80%。

由于成本及良率,12 寸硅片仍為主流,技術(shù)略有所停滯的當(dāng)前,國內(nèi)廠商具備追趕及 替代的機(jī)會。但是由于隨著硅片的直徑越大,硅片結(jié)晶過程中的旋轉(zhuǎn)速度也需要與之匹 配的減小,即容易帶來由于旋轉(zhuǎn)速度不快、不穩(wěn)定帶來的硅片晶格結(jié)構(gòu)的缺陷,同時隨 著直徑的擴(kuò)大,晶圓的邊緣之處更容易產(chǎn)生翹曲的情況,從而帶來良率的降低,也意味 著生產(chǎn)的成本的提高,因此目前全球的主流硅片的最大尺寸仍僅為 12 英寸,但這也帶 給了國內(nèi)廠商追趕行業(yè)龍頭的機(jī)會。

由于半導(dǎo)體行業(yè)與全球宏觀形勢緊密相關(guān),全球半導(dǎo)體硅片行業(yè)在 2009 年受經(jīng)濟(jì)危機(jī) 影響,出貨量與銷售額均出現(xiàn)下滑;2010 年智能手機(jī)放量增長,硅片行業(yè)大幅反彈;2011 年-2016 年,全球經(jīng)濟(jì)復(fù)蘇但較為低迷,硅片行業(yè)易隨之低速發(fā)展;2017 年以來, 得益于半導(dǎo)體終端市場需求強(qiáng)勁,半導(dǎo)體市場規(guī)模不斷增長,于 2018 年突破百億美元 大關(guān)。至 2020 年全球半導(dǎo)體硅片的收入已經(jīng)達(dá)到 112 億美元的規(guī)模,出貨量也達(dá)到了 12.41 億平方英寸。

2008 年至 2013 年,中國大陸半導(dǎo)體硅片市場發(fā)展趨勢與全球半導(dǎo)體硅片市場一致。2014 年起,隨著中國半導(dǎo)體制造生產(chǎn)線投產(chǎn)、中國半導(dǎo)體制造技術(shù)的不斷進(jìn)步與中國 半導(dǎo)體終端市場的飛速發(fā)展,中國大陸半導(dǎo)體硅片市場步入飛躍式發(fā)展階段。2016 年2018 年,中國半導(dǎo)體硅片銷售額從 5.00 億美元上升至 9.96 億美元,年均復(fù)合增長率高 達(dá) 41.17%。中國作為全球最大的半導(dǎo)體終端市場,未來隨著中國芯片制造產(chǎn)能的持續(xù) 擴(kuò)張,中國半導(dǎo)體硅片市場的規(guī)模將繼續(xù)以高于全球市場的速度增長。

中國大陸僅有少數(shù)幾家企業(yè)具有 200mm 半導(dǎo)體硅片的生產(chǎn)能力。2017 年以前, 300mm 半導(dǎo)體硅片幾乎全部依賴進(jìn)口。2018 年,硅產(chǎn)業(yè)集團(tuán)子公司上海新昇作為中國 大陸率先實現(xiàn) 300mm 硅片規(guī)?;N售的企業(yè),打破了 300mm 半導(dǎo)體硅片國產(chǎn)化率幾乎為0%的局面。

中國大陸僅有少數(shù)幾家企業(yè)具有 200mm 半導(dǎo)體硅片的生產(chǎn)能力。2017 年以前, 300mm 半導(dǎo)體硅片幾乎全部依賴進(jìn)口。2018 年,硅產(chǎn)業(yè)集團(tuán)子公司上海新昇作為中國 大陸率先實現(xiàn) 300mm 硅片規(guī)?;N售的企業(yè),打破了 300mm 半導(dǎo)體硅片國產(chǎn)化率幾 乎為 0%的局面。

十三、濕電子化學(xué)品:內(nèi)資龍頭效應(yīng)顯著

濕電子化學(xué)品,也叫超凈高純試劑,為微電子、光電子濕法工藝制程中使用的各種電子 化工材料。主要用于半導(dǎo)體、太陽能硅片、LED 和平板顯示等電子元器件的清洗和蝕刻 等工藝環(huán)節(jié)。按用途主要分為通用化學(xué)品和功能性化學(xué)品,其中通用化學(xué)品以高純?nèi)軇?為主,例如氧化氫、氫氟酸、硫酸、磷酸、鹽酸、硝酸等;功能性化學(xué)品指通過復(fù)配手 段達(dá)到特殊功能、滿足制造中特殊工藝需求的配方類或復(fù)配類化學(xué)品,主要包括顯影液、 剝離液、清洗液、刻蝕液等。

濕電子化學(xué)品目前廣泛應(yīng)用在半導(dǎo)體、平板顯示、太陽能電池等多個領(lǐng)域,濕電子化學(xué) 品在半導(dǎo)體晶圓制程中應(yīng)用于晶圓清洗、刻蝕、顯影和洗滌去毛刺等工藝,在晶圓領(lǐng)域 制造和封測領(lǐng)域應(yīng)用分布廣。國際半導(dǎo)體材料和設(shè)備組織(SEMI)制定了 5 個超純凈 試劑的國際分類標(biāo)準(zhǔn),應(yīng)用領(lǐng)域的不同對超純凈試劑要求的等級也不同,半導(dǎo)體領(lǐng)域要 求的等級比平板顯示和光伏太陽能電池領(lǐng)域的要求高,基本集中在 SEMI3、G4 的水平, 我國的超純凈試劑研發(fā)水平與國際水平上游差距,大多集中在 G2 的水平。

全球的濕電子化學(xué)品市場大多被歐美和日本公司占據(jù),其中歐美公司主要有 BASF、霍 尼韋爾、ATMI、杜邦、空氣產(chǎn)品公司,營收合計占比 37%左右;日本公司主要有關(guān)東 化學(xué)、三菱化學(xué)、京都化工、住友化學(xué)、宇部興產(chǎn)、森田化學(xué)等,營收合計占比 34% 左右;中國臺灣地區(qū)和韓國公司主要有中國臺灣東應(yīng)化、中國臺灣聯(lián)士電子、鑫林科技、東友、東進(jìn) 等,營收合計占比 17%左右。國內(nèi)企業(yè)主要有浙江凱圣、湖北興福、上海新陽、蘇州 晶瑞、江化微、江陰潤瑪、杭州格仕達(dá)、貴州微頓品磷等,營收占全球市場 10%左右, 技術(shù)等級主要集中在 G2 以下僅有少部分企業(yè)達(dá)到 G4 以上標(biāo)準(zhǔn)。

在眾多工藝化學(xué)品企業(yè)中,上海新陽已成為先進(jìn)封裝和傳統(tǒng)封裝行業(yè)所需電鍍與清洗化 學(xué)品的主流供應(yīng)商,其超純電鍍硫酸銅電鍍液已成功進(jìn)入中芯國際、海力士的 28nm 大 馬士革工藝制程,成為 Baseline 產(chǎn)品,進(jìn)入工業(yè)化量產(chǎn)階段;湖北興福電子材料有限公 司磷酸、浙江凱圣氟化學(xué)有限公司氫氟酸等也都在 8-12 英寸工藝認(rèn)證中取得較好效果, 即將投入量產(chǎn)應(yīng)用。




文章轉(zhuǎn)載自微信公眾號:DT新材料

Copyright ?大連虹冠錦江機(jī)械設(shè)備有限公司   技術(shù)支持:青蔥科技  遼ICP備2020015925號-1 
熔射,熱噴涂,東北熱噴涂,大連熱噴涂,水輪機(jī)轉(zhuǎn)子噴涂,金屬表面工程,等離子噴涂,零件恢復(fù)尺寸機(jī)械密封噴涂,溶射,噴涂硬質(zhì)合金,噴涂氧化鉻,噴涂氧化鋁鈦,火焰,虹冠,錦江,機(jī)械,設(shè)備,機(jī)加工
13795193430
13842610026
返回頂部